systemverilog xilinx vivado 2020

mrctf2020_easyoverflow

mrctf2020_easyoverflow 控制栈上参数 程序控制流 bamuwe@qianenzhao:~$ checksec mrctf2020_easyoverflow [*] '/home/bamuwe/mrctf2020_easyoverflow' Arch: amd64-64-litt ......
easyoverflow mrctf 2020

CVE-2020-11800

Zabbix Server trapper命令注入漏洞(CVE-2020-11800) Zabbix是由Alexei Vladishev开发的一种网络监控、管理系统,基于Server-Clinet架构。在CVE-2017-2824中,其Server端trapper command功能存在一处代码执行 ......
11800 2020 CVE

【LeetCode 1635. Hopper 公司查询 I】with recursive生成2020年每月的最后一天

题目地址 https://leetcode.cn/problems/hopper-company-queries-i/description/ 代码 -- CTE生成2020年每月的最后一天 WITH RECURSIVE months AS ( SELECT LAST_DAY('2019-12-01 ......
recursive LeetCode Hopper 公司 1635

VIVADO 时序约束3

1.查看时序报告 对于intra-clock 小于300ps,inter-clock小于500ps 2.如果异步复位信号的撤销时间在Trecovery(恢复时间)和Tremoval(移除时间)之内,那势必造成亚稳态的产生,输出在时钟边沿的Tco后会产生振荡,振荡时间为Tmet(决断时间),最终稳定到 ......
时序 VIVADO

2020-2021 ACM-ICPC, Asia Seoul Regional Contest

Preface 这几天里打的最好的一场了,虽然后面写I唐的不行浪费了好多时间 但好在最后都改出来了并且最后Rush出了L题,4h57min绝杀,9题收场 只能说恰好在祁神缺席的这场没有几何,没有被腐乳 而且这场打完发现只有韩文题解没有英文题解,这下直接不用补题了爽歪歪 A. Autonomous V ......
ACM-ICPC Regional Contest Seoul 2020

vivado 时序约束1

1.常见的xdc约束命令 2.对异步时钟进行时序约束 对异步时钟组和时钟域交汇进行约束 在“Clock Interaction”(时钟交互)报告中可快速明确异步关系:无公用基准时钟的时钟对或者无公共周期(未扩展)的时钟对。即使时钟周期相同,从不同时钟源生成的时钟仍为异步关系。必须仔细审查异步“Clo ......
时序 vivado

[ACTF2020 新生赛]Exec 1

[ACTF2020 新生赛]Exec 1 审题 发现题目有ping功能,猜测是命令执行漏洞。 知识点 linux系统命令 解题 先ping127.0.0.1,观察是否正常执行。 发现正常后执行ls / 命令查看目录。 看到flag目录,使用cat命令抓取flag目录中的内容。 ......
新生 ACTF 2020 Exec

wustctf2020_getshell_2

wustctf2020_getshell_2 shell函数汇编代码 ROPgadgets字符串的获取 call指令的使用 在漏洞函数vulnerable中存在溢出,但是溢出空间很小,只有8个位置(两个栈空间) 在shell函数中存在system函数同时有一串字符串,字符串中有/sh bamuwe@ ......
getshell wustctf 2020

vivado使用tcl脚本新建工程

对于一些比较大的FPGA项目,包含较多的模块时,这是通过写脚本进行项目工程新建可能比vivado图形界面操作更方便。还有就是对原有项目进行拓展,记得笔者初学FPGA,都是复制了原项目,然后再更改代码,这样会导致工程很臃肿占用资源多,后来笔者就通过脚本来对工程进行备份或者移植。以下便是方法,先写好生成 ......
脚本 vivado 工程 tcl

P6646 [CCO2020] Shopping Plans

神仙套路题。 \(m=1,l=r\) 先将物品按价值排序。 则我们的初始状态一定是一个前缀。 显然我们的后继状态就是将若干个选择向后移动,并且不超过自己后面的。 注意到我们靠后的移动一定比考前的优,所以我们是现移动后面的再移动前面的。 我们记录四元组 \((le,pos,ri,val)\)。 表示前 ......
Shopping P6646 Plans 6646 2020

bjdctf_2020_router

bjdctf_2020_router pwntools使用 linux系统下命令执行 关键点在case1的这个system函数执行上,dest中是ping命令 我们在system执行函数时,加上;那么前后两个命令都会执行 所以我们拼接strcat中加上;/bin/sh就可以得到shell from ......
bjdctf router 2020

题解 P7165 [COCI2020-2021#1] Papričice

传送门。 题意 有一棵树,可以断掉 \(2\) 条边,会形成三个连通块,求三个连通块中大小最大减最小的最小值。 分析 我们观察两条边之间的关系,分类考虑: 两条边成祖孙关系。 两条边没有祖孙关系。 首先,我们肯定我们的大方向,固一动一(说起来为什么想到了数学题),先固定一条边,再在其他边中取得最适合 ......
题解 P7165 Papri 7165 2020

mrctf2020_shellcode

mrctf2020_shellcode pwntools中shellcode使用与配置 bamuwe@qianenzhao:~$ checksec mrctf2020_shellcode [*] '/home/bamuwe/mrctf2020_shellcode' Arch: amd64-64-li ......
shellcode mrctf 2020

bjdctf_2020_babyrop2

bjdctf_2020_babyrop2 格式化字符漏洞 canary保护机制 64位泄露libc bamuwe@qianenzhao:~$ checksec bjdctf_2020_babyrop2 [*] '/home/bamuwe/bjdctf_2020_babyrop2' Arch: amd ......
babyrop2 babyrop bjdctf 2020

wustctf2020_getshell

wustctf2020_getshell ret2shell 在vulnerable函数中存在溢出漏洞 shell函数中已经预留了后门 溢出->跳转到后门函数 from pwn import * context.log_level = 'debug' elf=ELF('wustctf2020_get ......
getshell wustctf 2020

bjdctf_2020_babyrop

bjdctf_2020_babyrop 64位泄露libc vuln的buf变量存在溢出 64位函数传入的参数依次存在寄存器rdi,rsi,rdx (顺序从左到右),返回值存在rax中 bamuwe@qianenzhao:~/done/bjdctf_2020_babyrop$ ROPgadget - ......
babyrop bjdctf 2020

【省选联考2020】树 题解

省选题解第一发~ 【省选联考2020】树 我和这道题还挺有缘分的。 有一次看大佬的省选游记(不知道是哪一年),然后提到有一道是01trie整体加一,当时我就印象深刻,然后在 oiwiki 上看了一下,心想这整体加一也只能从低位到高位维护 01trie 啊,又不能查询最大值,有什么卵用(划掉)。 这是 ......
题解 2020

1-1-04 VIVADO设置VSCODE为第三方编译器

使用第三方编辑工具可以让开发代码变的更加高效,vscode是非常好用的第三方编辑器,下面我们演示如何vivado中设置 vscode 为第三方编译器 双击打开vivado程序 点击设置 选择代码编译器"Text Editor" 选择编辑器,里面包含Notepad++等各类编译器,但是我们要设置的VS ......
编译器 第三方 VIVADO VSCODE 04

1-1-02 AMD(XILINX) FPGA开发工具Vitis(vivado)安装

1.1Vitis概述 Vitis 统一软件平台可实现在 Xilinx 异构平台(包括 FPGA、SoC 和 Versal ACAP)上开发嵌入式软件和加速应用。它可为边缘、云和混合计算应用加速提供统一编程模型。 利用与高层次框架的集成,通过加速库采用 C、C++ 或 Python 进行开发,或者使用 ......
开发工具 工具 XILINX vivado Vitis

1-1-03 XILINX JTAG开发工具usb-jtag驱动安装

1.1概述 一般安装vitis(vivado)的过程中勾选了安装jtag cable驱动就会默认安装好jtag驱动,但是如果vivado无法正确识别到JTAG,那么可以试下重新手动安装驱动 1.2准备工作 安装驱动前,必须关闭所有的vivado,vitis-sdk并且拔掉USB JTAG 以免导致安 ......
开发工具 usb-jtag 工具 XILINX JTAG

pr 2020 导入歌词文件暨制作含字幕的MV

一、制作srt字幕文件 获取互联网上的lrc歌词文件; 将歌词文件用记事本打开,另存为UTF-8(含物料); 将lrc文件转换为srt文件; 可访问以下地址:https://gotranscript.com/subtitle-converter 将srt文件再用记事本打开,另存为UTF-8(含物料) ......
字幕 文件 歌词 2020 pr

36 高效的VIVADO BlockDesign设计方法

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 由于VIVADO图形化的编程方式中大量应用,所 ......
BlockDesign 方法 VIVADO 36

35 VIVADO用户IP软件总线接口封装

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 使用VIVADO基于图形化创新编程FPGA的方 ......
总线 接口 用户 VIVADO 软件

34 VIVADO自定义IP简单封装方法

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 XILINX作为FPGA全球老大,不仅仅是硬件 ......
方法 VIVADO 34

27 浅谈XILINX BRAM的基本使用

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 对于BRAM 详细的说明在XILINX 官方文 ......
XILINX BRAM 27

6 浅谈XILINX FIFO的基本使用

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 首先来大概了解下什么是FIFO ,FIFO( ......
XILINX FIFO

01 Xilinx vitis安装

1 Vitis概述 Vitis 统一软件平台可实现在 Xilinx 异构平台(包括 FPGA、SoC 和 Versal ACAP)上开发嵌入式软件和加速应用。它可为边缘、云和混合计算应用加速提供统一编程模型。 利用与高层次框架的集成,通过加速库采用 C、C++ 或 Python 进行开发,或者使用基 ......
Xilinx vitis 01

2020 - 951 数据结构

题目 一、单项选择题 1. 计算机算法指的是 ( )。 A. 计算方法 B. 解决问题的步骤序列 C. 排序方法 D. 调度方法 2.顺序表比链表 ( )。 A. 更便于随机读取 B. 数据元素的物理存储范围更分散 C. 插入和删除更简便 D. 更适合线性逻辑结构 3.在一个长度为n的顺序表的第i( ......
数据结构 结构 数据 2020 951

2020 - 952 计算机网络

题目 一、 填空题 1.一个典型的数据通信系统包括五个组成部分, 分别是:①、 发送方、 接收方、 协议和传输介质。 2.100Base-TX 以太网选用的物理拓扑结构通常是 ① 。 3.协议的三个核心要素分别是:语法、语义和 ① 。 4.在使用OSI网络模型的数据传输过程中,在每一层,数据单元可能 ......
计算机网络 2020 952

XILINX HLS 入坑记录 之 写RAM 综合出 读取+写入Ram

最近使用 Xilinx HLS 来开发 算法的IPcore,使用的Vitis 2021,发现光是 EDA 工具就存在很多的bug,比如: 1.经常C综合 停留在 Using flow_target 'vivado' 不给任何报错提示,永远卡死; 2.点击coSimulation vivado 启动 ......
XILINX HLS RAM Ram
共522篇  :1/18页 首页上一页1下一页尾页