Verilog

m基于FPGA的各类存储器纯Verilog实现,包含testbench,包括RAM,SRAM等

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,Vivado2019.2仿真结果如下: ram SRAM 2.算法涉及理论知识概要 FPGA(Field Programmable Gate Array)是一种可编程逻辑器件,具有可重构性、高速度、低功耗等特点,被广泛应用于数字电路设 ......
存储器 testbench Verilog FPGA SRAM

m基于FPGA的带相位偏差64QAM调制信号相位估计和补偿算法verilog实现,包含testbench

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,其中Vivado2019.2仿真结果如下: 将FPGA的仿真结果导入到matlab中,显示星座图,结果如下所示: 2.算法涉及理论知识概要 在现代通信系统中,调制技术是实现高速数据传输和频谱效率优化的重要手段。其中,64QAM调制技术 ......
相位 偏差 算法 testbench 信号

m基于FPGA的1024QAM调制信号产生模块verilog实现,包含testbench

1.算法仿真效果 本系统进行了Vivado2019.2平台的开发,Vivado2019.2仿真结果如下: 将1024调制信号导入到matlab显示星座图 2.算法涉及理论知识概要 本文将详细介绍基于FPGA的1024QAM调制信号产生模块。本文将从以下几个方面进行介绍:1024QAM调制信号的基本原 ......
testbench 模块 信号 verilog FPGA

verilog数的表示和定点化

1.数的表示 1.1 数制转换 十进制整数转换成其他进制数:“除基取余”:十进制整数不断除以转换进制基数,直至商为0。每除一次取一个余数,从低位排向高位。 十进制小数转换成其他进制数:乘基取整,直至ε,高位到低位;“乘基取整”:用转换进制的基数乘以小数部分,直至小数为0或达到转换精度要求的位数。每乘 ......
定点 verilog

m基于FPGA的桶形移位寄存器verilog实现,包含testbench

1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition 其中Vivado2019.2仿真结果如下: Quartusii18.0+ModelSim-Altera 6.6d S ......
寄存器 testbench verilog FPGA

verilog 循环语句

循环语句 在Verilog HDL中存在着四种类型的循环语句,用来控制执行语句的执行次数。 1) forever 连续的执行语句。 2) repeat 连续执行一条语句 n 次。 3) while 执行一条语句直到某个条件不满足。如果一开始条件即不满足(为假),则语句一次也不能被执行。 4) for ......
语句 verilog

verilog task/function 语句

task模块 任务task在模块中任意位置定义,并在模块内任意位置引用,作用范围也局限于此模块。 模块内子程序出现下面任意一个条件时,则必须使用任务而不能使用函数。 1)子程序中包含时序控制逻辑,例如延迟,事件控制等 2)没有输入变量 3)没有输出或输出端的数量大于 1 //任务task定义:如下代 ......
语句 function verilog task

m基于FPGA的64QAM调制解调通信系统verilog实现,包含testbench,不包含载波同步

1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition 其中Vivado2019.2仿真结果如下: 仿真结果导入matlab可以看星座图: Quartusii18.0+Mo ......
载波 testbench verilog 系统 FPGA

m基于FPGA的DQPSK调制解调通信系统verilog实现,包含testbench,不包含载波同步

1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition 其中Vivado2019.2仿真结果如下: 将上面的各个信号放大,各个信号含义如下: Quartusii18.0+M ......
载波 testbench verilog 系统 DQPSK

verilog代码注意事项

对于二维数组,[CH-1:0] [AW-1-3:0] addr_tmp,如果想将数组中每一个数都低位补3个0,得到 [CH-1:0] [AW-1:0] addr,正确的操作是: integer i; always@(*) begin for (i=0;i<CH;i=i+1) begin adddr[ ......
注意事项 事项 verilog 代码

spi从机的Verilog实现2.0

前面已经提过了SPI协议的主从机,并用代码实现了。不过之前的版本是用系统时钟实现的,现在是直接通过SPI的时钟敏感进行边沿采样。参考了下github上一位大神的代码如下: 1 ////////////////////////////////////////////////////////////// ......
Verilog spi 2.0

基于FPGA的信号发生器,使用VHDL或Verilog语言进行开发,可以提供相关的仿真和设计说资料。

基于FPGA的信号发生器,使用VHDL或Verilog语言进行开发,可以提供相关的仿真和设计说资料。ID:3150646782307233 ......

基于FPGA的DDS波形发生器的设计 1. Verilog代码编写 2. 可实现正弦波

基于FPGA的DDS波形发生器的设计1. Verilog代码编写2. 可实现正弦波、方波、三角波、锯齿波等四种波形的切换3. 可调频调幅4. 可包含代码、使用说明、仿真教学,FPGA模块连接视频 ID:6199630346385352 ......
正弦 波形 发生器 Verilog 代码

FPGA verilog can mcp2515 altera xilinx工程 代码 程序 ...altera、xilin

FPGA verilog can mcp2515 altera xilinx工程 代码 程序...altera、xilinx工程 均提供...标准帧、扩展帧 均提供...提供仿真激励文件testbench资料包清单:1.程序:altera/xilinx工程代码、Verilog/testbench均提 ......
altera verilog 代码 程序 xilinx

fpga can控制器Verilog,节省你的电路板面积 ...altera、xilinx工程 均提

fpga can控制器Verilog,节省你的电路板面积...altera、xilinx工程 均提供...标准帧、扩展帧 均提供...提供仿真激励文件testbench资料包清单:1.程序:altera/xilinx工程代码、Verilog /testbench均提供。代码均在电路板验证,本店有对应 ......
电路板 控制器 电路 面积 Verilog

m基于FPGA的图像Harris角点特征提取和图像配准verilog实现,包含testbench和MATLAB辅助验证

1.算法仿真效果 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition的测试结果如下: MATLAB2022a测试结果如下: 2.算法涉及理论知识概要 在计算机视觉领域中,图像特征提取和图像配准是两个基本的问题。图像特征提取是指从图像中提取出具有代表性 ......
图像 testbench 特征 verilog Harris

sv dist+Verilog 随机数及概率分布

1,sv dist 转自: https://zhidao.baidu.com/question/1705149255835699740.htmlSystemverilog中权重分布由操作符dist实现,百有两种形式:“:=”或“:/”。“:=”表示值的权重是相等的,“:/”表示值的权重是均分度的。权 ......
随机数 概率 Verilog dist sv

Verilog - 补码

- 参考 - 《计算机组成与设计 第五版》 *** ## 1. 二进制补码计算 - 以64bit二进制补码为例。计算方法是:符号位乘以-2^63,然后其余位分别乘以他们各自基值的正值。如下图所示: - 对二进制补码**求相反数** - 将每bit数据从0转为1或从1转为0,然后对结果加1. - 正数 ......
补码 Verilog

Verilog HDL数据流建模与运算符

数据流建模使用的连续赋值语句由关键词`assign`开始,一般用法如下: ```verilog wire [位宽说明]变量名1, 变量名2, ..., 变量名n; assign 变量名 = 表达式; ``` 只要等号右边的值发生变化,则立即更新等号左边的值。 注意,连续赋值语句只能对`wire`型变 ......
运算符 数据流 Verilog 数据 HDL

Verilog HDL门级建模

Verilog HDL内部定义了12个基本门级元件可以直接用,用这些门级原件直接对逻辑图进行描述,称为门级建模。 每个门输入可能是逻辑0,逻辑1,不确定态x和高阻态z四个值之一。 1. 多输入门 主要有**与门(and),与非门(nand),或门(or),或非门(nor),异或门(xor),同或门( ......
Verilog HDL

m基于FPGA的交织解交织系统verilog实现,包含testbench

1.算法仿真效果 其中Vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 交织解交织系统是一种数据传输技术,广泛应用于通信系统中,以提高数据传输的可靠性和抗干扰能力。该系统通过将数据在发送端进行交织处理,然后在接收端进行解交织处理,使数据的各个位分散到不同的位置上,从而降低信道噪声和干 ......
testbench verilog 系统 FPGA

m基于FPGA的数据串并并串转换系统verilog实现,包含testbench,可以配置并行数量

1.算法仿真效果 本系统进行了两个平台的开发,分别是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d Starter Edition 其中Vivado2019.2仿真结果如下: 分别进行2路,4路,8路,16路并行串行转换 Quartusii18.0 ......
testbench 数量 verilog 数据 系统

Verilog 呼吸灯学习心得体会

2023-06-25 呼吸灯设计思路: 系统时钟25MHz → 20us定时器 → 20ms定时器 → 2s定时器/占空比计数器 → 输出 设计流程: 1. 设置时间单位、全局参数 和 input / output端口定义; 2.设置本模块参数 3.设置reg变量; 4.设置wire型变量 5.设置 ......
心得体会 心得 Verilog

基于FPGA的FSK调制解调通信系统verilog实现,包含testbench

1.算法仿真效果 vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 频移键控是利用载波的频率变化来传递数字信息。数字频率调制是数据通信中使用较 早的一种通信方式,由于这种调制解调方式容易实现,抗噪声和抗衰减性能较强,因此在 中低速数字通信系统中得到了较为广泛的应用。 在二进制频移键控 ......
testbench verilog 系统 FPGA FSK

verilog仿真过程中modelsim出现“Error loading design”原因与解决方案

modelsim仿真出现以下错误: 原因:测试文件的模块名或者参数名错误 解决方法:1. 查看tb文件是否正确 2.查看tb文件模块名称是否正确 下图完成了仿真: ......
modelsim 解决方案 过程 原因 verilog

fpga 以太网w5500 SPI传输80MHz,Alter FPGA verilog udp驱动源码,8个SOCKET都可用,SPI频率支持80MHZ,硬

fpga 以太网w5500 SPI传输80MHz,Alter FPGA verilog udp驱动源码,8个SOCKET都可用,SPI频率支持80MHZ,硬件验证以通过 。w5500 ip 核 w5500 软核 实测网络传输速度8.5M/s,学习必用之良品ID:1399607465825157 ......
以太网 SPI 源码 频率 verilog

fpga 以太网w5500 SPI传输80MHz FPGA verilog TCP客户端驱动源码,8个SOCKET都可用,SPI频率80MHZ,硬件验

fpga 以太网w5500 SPI传输80MHz FPGA verilog TCP客户端驱动源码,8个SOCKET都可用,SPI频率80MHZ,硬件验证以通过 。w5500 ip 核 w5500 软核,还有TCP服务端和UDP模式,联系联系我要那个,默认发TCP客户端。这个代码是用fpga驱动和使用 ......
以太网 SPI 源码 客户端 频率

fpga 以太网w5500,SPI传输80MHz, Alte A收发verilog软核 ip核源码,W5500以太网模块, 1个SOCKET,需要多个

fpga 以太网w5500,SPI传输80MHz, Alte A收发verilog软核 ip核源码,W5500以太网模块, 1个SOCKET,需要多个SOCKET的可以做为参照进行修改,从而实现多个SOCKET的使用,学习必用之良品,还有51 stm32驱动源码需要的可联系?这个代码只为描述w550 ......
以太网 5500 源码 模块 多个

Verilog PID调节器基于fpga的Verilog PID调节器源码

Verilog PID调节器基于fpga的Verilog PID调节器源码ID:2220597454912833 ......
调节器 Verilog PID 源码 fpga

FPGA verilog can mcp2515 altera xilinx工程 代码 程序 .

FPGA verilog can mcp2515 altera xilinx工程 代码 程序...altera、xilinx工程 均提供...标准帧、扩展帧 均提供...提供仿真激励文件testbench资料包清单:1.程序:altera/xilinx工程代码、Verilog/testbench均提 ......
verilog 代码 程序 altera xilinx